Categories
Ξ TREND

ASML has TSMC, Intel and Samsung in its grip. If they want 2nm chips they will have to go through the checkout


The first high-aperture extreme ultraviolet (EUV) photolithography equipment on the planet is on its way to the Intel factory in Hillsboro (USA). It is possible that by the time SamaGame readers are reading these lines it has already reached its destination. This very complex machine of about 300 million dollars It has been designed and manufactured by the Dutch company ASML, although Intel engineers have also participated in this process.

This first equipment will be used to start the testing phase, and presumably during 2024 TSMC, Intel and Samsung will receive more units of this machine, whose commercial name is Twinscan EXE:5000 or EXE:5200. In any case, ASML has confirmed that large-scale production with this photolithography equipment will begin in 2025. A priori, these seem like reasonable deadlines and are aligned with the itineraries managed by Intel, TSMC and Samsung.

In theory, high-aperture UVE lithography equipment will allow semiconductor manufacturers to produce integrated circuits beyond the 3 nm barrier. To make this possible ASML has implemented a very advanced optical architecture that It has an aperture of 0.55 compared to the value of 0.33 that the first generation UVE lithography equipment has. This refinement of optics allows higher resolution patterns to be transferred to the wafer, making it possible to manufacture chips using more advanced integration technologies than those currently used in 3nm nodes.

In reality, it is ASML who has the upper hand.

Without high-aperture UVE photolithography equipment there will be no 2nm integrated circuits. And much less than 1 nm. These chips already appear on the itineraries of the three companies I have talked about in this article, so we can be sure that their medium-term business requires their nodes to be well-oiled and capable of performing correctly to deliver the performance per wafer necessary to ensure the cost-effectiveness of these integration technologies. At this juncture it is evident that the role of ASML lithography machines is unquestionably leading.

According to ASML, its Twinscan EXE:5200 lithography equipment is capable of producing more than 200 wafers per hour.

As I mentioned in the first lines of this article, a high-aperture UVE photolithography equipment has an approximate price of 300 million dollars, while a first-generation EUV machine moves in the orbit of 150 million dollars. The difference in cost between one and the other is overwhelming. The reasonable conclusion we can reach once we have noticed this price difference is that integrated circuit manufacturers are going to be forced to optimize performance per wafer of its next nodes to keep the cost of the chips within an acceptable range. And they are also going to have to maximize their monthly wafer production.

According to ASML, its Twinscan EXE:5200 lithography equipment is capable of producing more than 200 wafers per hour. Furthermore, the improvements introduced by this company’s engineers in the optical and mechanical systems of this machine reduce the complexity of the processes involved in the manufacturing of integrated circuits, an asset that will benefit chip producers.

However, former ASML analyst Jeff Koch says the need to combine two types of mask exposure on the same wafer will significantly increase the cost of chip manufacturing. TSMC, Intel and Samsung weigh in on the many advantages that the new devices in the Twinscan EXE family put in their hands. But we must not overlook that the other tray resides a very notable increase in costs. Be that as it may, if these manufacturers want to produce chips of 2 nm, 1 nm and beyond, they will necessarily have to check out and get the new ASML machines.